Welcome![Sign In][Sign Up]
Location:
Search - MIPS simulator in java

Search list

[JSP/JavaMipsSimulator

Description: 实现一个具有5段流水线结构的Mips-lite模拟器,该模拟器结构具有data forwarding,stall 处理等功能-The realization of a pipeline structure with paragraph 5 of the Mips-lite simulator, the simulator structure of data forwarding, stall and other functions to deal with
Platform: | Size: 285696 | Author: Draco | Hits:

[JSP/JavaJavaMIPS

Description: MIPS 仿真器,能够实现汇编,反汇编和模拟运行。自己开发的,java课的大程,可能问题比较多,仅做参考,而且程序架构也设计地不是很好。但作为新手大程学习和简单的MIPS模拟还是没有问题的。 本 MIPS 模拟器支持的指令如下: add,addi,sub,subi,and,andi,or,ori,nor,beq,bne,j,jr,jal,lw,lh,lb,sw,sh,sb, 其中所有的跳 转指令第三个操作数只能为一个行标签[不支持相对地址以及绝对地址],标签可 以写在一行开头,以冒号结尾。 -MIPS emulator, be able to achieve a compilation of anti-compilation and simulation is running. Their own development, java classes big way, may be more questions, just make a reference, but also architecture design process is not very good. But as a new large study and easy way of MIPS simulation of the problem or not. The MIPS simulator supports the following commands: add, addi, sub, subi, and, andi, or, ori, nor, beq, bne, j, jr, jal, lw, lh, lb, sw, sh, sb, one of all of the Jump instruction operand can only be the third for a tag line [do not support the relative address and absolute address] can be written on the tag line at the beginning to the end of the colon.
Platform: | Size: 1490944 | Author: ly | Hits:

[assembly languageMIPS.tar

Description: MIPS SIMULATOR WRITTEN IN JAVA. IT S SIMULATE THE MIPS ARCHITECTURE AND ALLOW THE USER TO TEST SOME SOURCE CODE WRITTEN IN ISA MIPS LANGUAGE AND TEST IT.-MIPS SIMULATOR WRITTEN IN JAVA. IT S SIMULATE THE MIPS ARCHITECTURE AND ALLOW THE USER TO TEST SOME SOURCE CODE WRITTEN IN ISA MIPS LANGUAGE AND TEST IT.
Platform: | Size: 345088 | Author: thierry896 | Hits:

CodeBus www.codebus.net